“Bus Skew Report Per Constraint”部分 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

Bus Skew Report Per Constraint(总线偏差报告(按约束))部分提供了有关每项 set_bus_skew 约束的更多详细信息。每项报告的约束都包含 2 个部分:

  1. 约束覆盖的路径的详细汇总。
  2. “Per Constraint”(按约束)汇总中报告的路径的详细时序路径。

详细汇总表提供了以下信息:

From Clock(源时钟)
起点时钟域。
To Clock(目标时钟)
端点时钟域。
Endpoint Pin(端点管脚)
报告的路径中所含的端点管脚。
Reference Pin(参考管脚)
用于计算偏差的参考管脚。该表每一行都引用导致该端点路径产生最大偏差的参考管脚。
Corner(时序角)
用于计算此端点的最差偏差的快速/慢速 (Fast/Slow) 角。
Actual(实际值)
计算所得偏差。偏差是 Endpoint Pin 的相对延迟减去 Reference Pin 的相对延迟减去相对 CRPR 的差值。
Slack(裕量)
实际路径偏差与要求之差。
注释: 定义总线偏差约束时,必须同时指定 -from-to 选项。

默认情况下,仅报告含最差总线偏差的端点。要报告多个端点,可使用命令行选项 -max_paths-nworst。其工作方式与 report_timing 命令类似。例如,针对每项约束,-nworst 1 -max_path 16 的组合可报告最多 16 个端点,每个端点一条路径。

图 1. 总线偏差报告(按约束)

详细时序路径部分可为“Per Constraint”汇总表中报告的每个管脚对提供详细时序路径。报告的详细路径数量与汇总表中报告的端点数量相同,可使用 -max_paths/-nworst 命令行选项来加以控制。

详细总线偏差时序路径的格式与传统时序路径相似。但由于总线偏差分析是在相同时钟沿上完成的,因此并不包含时钟不确定性。而是改为在总线偏差头文件中报告来自端点或参考路径的最差时钟不确定性。目标时钟的发送时间始终为 0。对于每个裕量,将打印到端点的时序路径和到参考管脚的时序路径。当时钟或数据路径跨多个 SLR 时,裕量计算期间会应用适当的 SLR 间补偿以防止出现不必要的消极因素。随后,在总线偏差头文件中会报告此类补偿。

以下详细路径是使用命令行选项 -path_type short 报告的,用于折叠时钟网络详情。指向端点管脚的路径位于指向参考管脚的路径之前。路径头文件汇总了来自 2 条详细路径的信息以及要求和相对 CRPR:

图 2. 详细路径示例