“Exceptions”部分 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

此部分可支持访问每个时序例外的详情表。针对每种类型的时序例外都有 1 个对应类别,并且这些类别具有源自“Summary”(汇总)表的超链接。详情表的格式取决于在 GUI 中是否已选中“Coverage”(覆盖率)选项。

以下是未选中“Coverage”选项的详情表示例。

图 1. “Report Exceptions”:未选中“Coverage”的详情表

表格中的“Position”(位置)列表示与 Timing Constraints Editor (TCE) 所报告的位置编号相匹配的时序约束位置编号。您可双击任一行以重定向至 TCE 内选中的约束。或者也可以右键单击该行并从弹出菜单中选择“View Constraint”(查看约束)。

图 2. “Report Exceptions”上下文菜单

下图显示了 Timing Constraint Editor (TCE) 内选中的约束。

图 3. Timing Constraint Editor 内的时序例外

“From”(来源)、“Through”(穿过)和“To”(目标)列可报告用于定义时序例外的原始模式。您还可参考 TCE 中的约束位置编号来查看这些模式。

下图显示了 Report Exception GUI 内选中“Coverage”选项情况下的详情表示例。

图 4. “Report Exceptions”:选中“Coverage”的详情表

表格中的“Position”列表示上述时序约束位置编号。

选中“Coverage”选项后,表格中的“From”、“Through”和“To”列将包含指向作为时序约束目标的设计对象的超链接。对象可以是单元、信号线、管脚或时钟。可单击蓝色超链接来选择对象。选择对象后,可使用F4键打开板级原理图。此外,覆盖率信息还可在表格中添加以下列以指示覆盖率百分比:“From (%)”、“To (%)”和“Through (%)”。

表格中的“Status”(状态)列可报告约束状态,例如,“Invalid endpoint”(无效端点)、“Partially overridden path”(路径被部分覆盖)、“Non-existent path”(路径不存在)或者“Totally overridden”(完全覆盖)。在命令行上运行 report_exception 时,同样可报告这些状态:

  • “Non-existent path”(不存在的路径):例外被视为无效(不影响时序分析)。
  • “Totally overridden”(完全覆盖):例外被视为无效(不影响时序分析)。
    注释: 覆盖率按如下顺序计算:“From”、“Through”和“To”。针对某一层次计算的覆盖率取决于上一层次。当给定层次计算所得覆盖率为 0% 时,所有后续层次都继承此覆盖率 0%。
    注释: 覆盖率为 0% 的约束可视作为无效,因为它不影响时序分析。
    注释: 绑定到 VCC/GND 的管脚将报告为无效管脚。

时钟组并非是由 -from-through-to 定义的,因此详情表与此不同。

图 5. 时钟组的详情表

当时钟组约束涉及多个组并且每个组都具有多个时钟时,该表包含所有可能的时钟对组合,每个时钟对独立显示一行。在此情况下,约束将跨多个行,并且其中每一行都引用同一个约束位置编号。

以上设计中的约束位置编号 443 定义为:

set_clock_groups -physically_exclusive -group RFSCLK -group LB_MD1SFSCLK

约束跨 2 行,因为从时钟 RFSCLK 到时钟 LB_MD1SFSCLK 之间存在部分时序路径,而在时钟 LB_MD1SFSCLK 到时钟 RFSCLK 之间同样存在部分时序路径。