“Ignored Objects”部分 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

这部分用于报告已忽略的起点和端点,按约束类型组织。这等同于从 Tcl 控制台运行 report_exceptions -ignored_objects

图 1. “Report Exceptions”:“Ignored Objects”

表中的“Position”(位置)列表示与 TCE 内部报告的时序约束位置编号相匹配的位置编号。您可双击任一行以重定向到 TCE 内选定的约束。或者也可以右键单击该行并从弹出菜单中选择“View Constraint”(查看约束)。

表中的“Ignored Startpoints”(已忽略的起点)列和“Ignored Endpoints”(已忽略的端点)列用于报告已忽略的管脚。根据指定的管脚模式为 -from-to,如果管脚不是有效起点或端点,则忽略该管脚。任一约束均可跨多个行,具体取决于报告的管脚数量。请使用超链接选择设计对象。选择后,可在“Property”(属性)页面中查看属性,并通过F4键打开板级原理图。