“Intelligent Design Runs”窗口中的流程控制 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文
Intelligent Design Runs(智能设计运行)窗口可提供两项功能,如下图所示:
  • 上下文相关的右键单击菜单,用于访问流程控制和设计分析选项
  • 允许访问诸如 WNS、TNS、WHS 和 THS 等指标,以便执行顶层和子层 IDR 运行
图 1. Intelligent Design Runs选项卡

这些指标表示 IDR 阶段的最佳运行指标。在整个流程中,这些指标会定期更新。

右键单击菜单与上下文相关,以选定的流程阶段为目标。右键单击顶层即可显示下列选项(这些选项是右键单击任意下层阶段的超集):

图 2. 流程控制和设计分析选项菜单

菜单选项如下所述。

Implementation Run Properties(实现运行属性)
打开 IDR 运行的运行属性。这是正常运行的缩减版本。
Delete(删除)
删除运行。
注释: 基于任意给定实现运行只能创建一轮 IDR 运行。要创建第二轮运行,必须先删除第一轮运行,或者应创建第二轮实现运行,然后从中创建 IDR。
Launch Runs(启动运行)
启动运行。
Reset Runs(复位运行)
将 IDR 复位,并删除所有文件。
Terminate Run(终止运行)
终止所选运行,但不删除运行目录中的文件。该选项仅在运行过程中可用。
Generate Bitstream(生成比特流)
如果运行尚未启动,则启动运行直至比特流生成。如果 IDR 已完成但比特流尚未生成,则该选项会从已完成的 IDR 的最佳运行的已布线的检查点生成比特流。该选项在运行过程中不可用。
View Reports(查看报告)
打开Intelligent Design Runs Reports(智能设计运行报告)窗口。
Open Run Directory(打开运行目录)
打开运行目录。该选项可用于访问中间检查点和文本报告。
Open Run(打开运行)
从选定的设计分析阶段打开所选运行或者最佳运行。仅在已布线的检查点上可用。
Create ML Strategy Runs(创建 ML 策略运行)
完成阶段 1 后,如果设计仍有时序失败,那么该选项会变为可用。ML 策略会自动创建并就绪,可立即搭配任意 APPLIED QoR 建议使用。选中该选项会创建 3 轮运行,等效于运行阶段 2。如果设计发生更改,那么相比于使用包含“Last Mile”(最后一步)的单通运行,首选使用该选项,因为它更适合处理更大的更改。
Generate Single Pass Implementation Run(生成单通实现运行)
创建标准实现运行,它会设置 RQS 文件和增量检查点(如需),由此创建的结果与在 IDR 中可达成的结果相同。该选项仅在成功完成 IDR 后才可用。