“QoR Suggestions”报告 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

QoR Suggestions(QoR 建议)报告拆分为汇总部分和详情部分,汇总部分中每项建议位于顶部,有关建议的详情则位于报告的下半部分。下表显示了所生成报告的示例。

图 1. report_qor_suggestions 报告示例

在此报告的Suggestion Report(建议报告)下提供了所有建议的列表。这些建议分为 4 个类别来呈现。这些建议按如下方式成对显示:

GENERATED(生成的建议)和EXISTING(现有建议)
  • “Generated”建议是流程当前阶段新生成的建议。
  • “Existing”建议可能来自流程先前阶段或者通过读入 RQS 文件获得。
APPLIED(已应用的建议)和FAILED TO APPLY(应用失败的建议)
  • “Applied”建议是已启用并且已通过 APPLICABLE_FOR 阶段的建议。这些建议已成功应用。
  • “Failed to apply”建议已启用并且已通过 APPLICABLE_FOR 阶段,但尚未成功应用。请检验现有 log 日志文件,了解尚未应用这些建议的原因。“Applied”建议是已启用并且已通过 APPLICABLE_FOR 阶段的建议。这些建议已成功应用。

报告的下半部分包含有关生成的建议的详细信息。它拆分为以下类别,report_qor_suggestions 根据这些类别来分析设计:

  • Clocking(时钟设置)
  • Congestion(拥塞)
  • Utilization(使用率)
  • Timing(时序)
  • Netlist(网表)
  • XDC
  • Strategy(策略)

通过观察 GENERATED 建议可知,详情部分应提供充分的信息,以供您推断报告这些建议的原因。可通过“GENERATED”建议的详情部分进行交叉探测。以下交叉探测方法非常实用:

  • 选中对象会在其他窗口(例如,Device视图)中高亮这些对象
  • F4可显示选定对象的板级原理图
  • 右键单击对象即可生成时序报告

通过观察 EXISTING 建议可知,可能对象已修改且不存在(例如,opt_design 可能从网表中移除对象)。因此,选择“EXISTING”建议时,交叉探测有时不可用。

对于每项建议,报告中包含额外的列,以提供有关如何使用这些建议的实用信息。下表显示了这些列的详细信息。

表 1. 附加信息列
属性 描述
GENERATED_AT 设计阶段(例如,opt_design 生成建议的阶段。
APPLICABLE_FOR 设计阶段(例如,opt_design 必须在启用建议的前提下重新运行的阶段。
SOURCE RQS 文件或 current_run(前提是在当前运行中生成这些建议)。 建议的来源。
AUTOMATIC Yes 和 No 描述建议是由 Vivado 工具自动执行还是需手动执行。
SUGGESTION_SCOPE GLOBALSCOPE,OOC 顶层模块 用于启用 OOC 综合以自动限定综合建议的作用域。