Report Exceptions - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

在综合后的流程中可随时使用“Report Exceptions”(例外报告)命令。“Report Exception”命令用于报告以下信息:

  • 在设计中已置位并且影响时序分析的所有时序例外
  • 在设计中已置位但由于被其他时序例外覆盖而被忽略的所有时序例外

“Report Exception”命令分析的时序例外包括(按优先级顺序):

  • 时钟组
  • 伪路径
  • 最大/最小延迟
  • 多周期路径

“Report Exception”是 1 条强大的命令,有助于对时序例外相关问题进行调试。某些设计的时序约束包含复杂的时序例外。由于时序例外的优先级不尽相同,因此很快就会难以理解哪些时序例外将被其他例外部分或全部忽略。“Report Exception”可报告被部分覆盖和完全覆盖的时序例外。它还可提供覆盖约束的提示。

如需了解有关 report_exceptions 命令行选项的更多信息,请访问此链接以参阅 Vivado Design Suite Tcl 命令参考指南(UG835) 中的相应内容。如需了解有关时序例外优先级顺序的更多信息,请访问此链接以参阅 Vivado Design Suite 用户指南:使用约束(UG903) 中的相应内容。

report_exceptions 命令具有多种操作模式:

  • 报告影响时序分析的时序例外
  • 报告已忽略的时序例外
  • 报告时序例外覆盖范围
  • 报告针对 -from/-through/-to 命令行选项指定的无效对象
  • 写出仅含有效对象的时序例外
  • 写出由时序引擎合并的时序例外
    注释: 即使“Clock Groups”(时钟组)严格意义上不属于时序例外,但仍在 report_exceptions 命令的覆盖范围内,因为时钟组可能覆盖其他时序例外。
    注释:report_exceptions 命令与 -from/-through/-to 选项搭配使用,即可仅报告使用相同 -from/-through/-to 命令行选项定义的时序例外。指定的模式可能不同,但在每个 -from/-through/-to 中必须至少存在 1 个匹配对象(单元、信号线、管脚或端口)方可将其报告为例外。