Report RAM Utilization - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

“Ram Utilization”(RAM 使用率)报告可帮助您分析专用 RAM 块(例如,URAM 和块 RAM)以及分布式 RAM 原语的使用率。默认情况下,此报告覆盖整个设计,但可通过 -cell 开关将其限制于特定层级。此报告可在综合后以及任意实现步骤后生成,但只能通过 Tcl 命令行查看。

“RAM Utilization”报告对于由 Vivado 综合推断所得存储器最有效,因为您可将“RTL Memory Array”(RTL 存储器阵列)与 FPGA 中的实际物理实现进行比较。

此报告可显示如下内容:

  • 每个存储器原语的使用率
  • 阵列大小和维度(仅限推断)
  • 存储器的类型
  • 存储器原语的使用率
  • 所需的存储器性能
  • (可选)存储器的流水线使用率(如适用)
  • 始于和止于存储器的最差情况逻辑路径
  • 功耗效率数据,例如,级联和使能率

此报告也可以 CSV 格式生成。如需对大量数据进行管理和排序,那么首选此方法。