“SLR Net Crossing”报告 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

“SLR Net Crossing”(SLR 信号线交汇)报告仅适用于 SSI 器件,可报告跨越 SLR 边界的模块中包含的信号线数量。对于每个模块,该表可提供有关信号线跨越的 SLR 的进一步详情。下图显示了“SLR Net Crossing”报告表示例。

注释: 当信号线负载遍布多个 SLR 时,仅按最远的切割计算 1 次跨越。例如,对于从 SLR0 驱动到 SLR1、SLR2 和 SLR3 中的负载的信号线,在 0-3 切割下仅计作 1 次跨越,且 SLR3 为距离 SLR0 最远的扇出。这种计数方法支持对每一列(0-1 切割、1-2 切割、以此类推)下的信号线数量求和,以与信号线跨越总数相匹配,因为每个信号线仅计数 1 次。
图 1. “SLR Net Crossing”报告表示例

分析设计的“SLR Net Crossing”报告表的“Congestion”(拥塞)和“Timing QoR”(时序 QoR)情况时,请留意如下信息:

  1. 使用 SSI 器件时,SSI 布局指令可能有助于解决时序和拥塞。
  2. 如果在使用各布局指令执行多轮实现运行期间,跨越 SLR 的特定模块持续遇到时序问题,请尝试减少使用 Pblock 以将模块约束到单一 SLR。