“Summary”部分 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

本节提供了所有时序例外和时钟组约束的汇总信息。对于每一种约束类型,报告将包含有效约束数量、已忽略的约束数量、已忽略的对象数量以及已覆盖的建立和保持端点数量。该表提供的信息比从命令行 (report_exceptions -summary) 运行 report_exceptions 时提供的汇总表更丰富。

如需获取每一种例外类型的详细信息,可参阅该汇总表中提供的指向“Exceptions”(例外)部分或“Ignored Objects”(已忽略的对象)部分的超链接。“Valid Constraints”(有效约束)和“Ignored Constraints”(已忽略的约束)链接至同一个“Exceptions”详情表。

注释: 如果不存在连接 -from -through-to 的物理路径或者如果约束完全被其他约束所覆盖,则忽略例外。
图 1. Report Exceptions:“Summary”部分