写入建议对象文件 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

创建 QoR 建议报告后,必须生成包含建议的 RQS (.rqs) 文件,此文件可馈送到建议运行中。为此,请选中要包含在运行中的建议,然后写入 QoR 建议文件。如下图中所示。

图 1. 选择/写入建议

下图显示了写入建议时的对话框。

图 2. Write Suggestions to Project对话框

等效的 Tcl 命令如下所示:

write_qor_suggestions filename.rqs
部分建议要求您确认必须覆盖 DONT_TOUCH 属性才能为其提供授权。等效的 Tcl 选项如下所示:
-disable_dont_touch

策略建议的处理方法与标准建议不同。写入 ML 策略允许创建多个策略 RQS 文件。如需了解更多详情,请参阅 策略建议。等效的 Tcl 选项如下所示:

-strategy_dir <directory>