实现分析与收敛技巧 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

本章涵盖了可用于时序收敛的技巧。此处所述技巧应视为是对 UltraFast 设计方法时序收敛快捷参考指南(UG1292) 适用于 FPGA 和 SoC 的 UltraFast 设计方法指南(UG949) 中所描述的时序收敛技巧的补充。

  • 智能设计运行是自动时序收敛流程,用于解决复杂的时序收敛问题,几乎无需用户具备任何使用知识即可使用。
  • QoR 建议对象流程能通过应用属性来为用户自动增强 QoR。
  • ML 策略流程有助于用户为给定设计选择最优的工具选项。
  • 布局规划是一种复杂的技巧,能为布局器提供指导信息以改善布局器结果,从而帮助改善时序路径和拥塞。
  • 判断工具是否存在保持时间问题是决定时序收敛策略中的关键部分。本节描述了如何诊断保持时间是否存在问题。