导出合并例外 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

“Report Exception”(例外报告)命令可导出 STA 引擎发现的时序例外列表。Vivado 时序引擎在内部合并时序例外,以减少耗用的存储器和运行时间。如果合并的时序例外数量与针对设计指定的时序例外数量不同,则表示时序例外定义可能未最优化。合并的时序例外使用 report_exceptions -write_merged_exceptions 来报告。

注释: 不导出时序约束 set_clock_groupset_bus_skew
注释: 导出合并的时序例外时,不会滤除无效的起点和端点。

下图显示了 2 条伪路径上的 report_exceptions -write_merged_exceptions,如 报告已忽略的对象 部分中所述。第 2 条伪路径包含所有寄存器管脚,因为 get_pins 命令的 -from/-to 模式为 int21_reg/*

图 1. 合并例外