导出有效例外 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

“Report Exception”(例外报告)命令可导出时序例外列表。仅支持导出覆盖至少 1 条路径的约束。在 Vivado Design Suite 定时器 (Timer) 存储器中展开用于指定时序例外的模式时,仅导出有效起点和端点管脚。此报告可配合覆盖范围报告一起使用,以帮助优化用于定义时序例外的对象的模式以及集合。

注释: 不导出时序约束 set_clock_groupset_bus_skew

下图显示了 报告已忽略的对象 章节中所述的 2 个 False Path(伪路径)约束上的 report_exceptions -write_valid_exceptions

图 1. 有效例外