导出豁免 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

作为设计约束的一部分,豁免将自动保存在检查点内,并从检查点复原。豁免保存在明文 XDC 和二进制约束中。

write_xdcwrite_waivers 命令可用于将豁免导出为独立 XDC 文件。可通过 read_xdcsource 命令将 XDC 重新加载到 Vivado 工具中。

write_xdc 命令可将 XDC 文件内的所有豁免随所有设计约束一并导出。包括用户定义的豁免和 AMD IP 豁免。XDC 中的约束排序与其应用于设计的顺序相同。如需仅导出豁免,请使用命令行选项 -type waiver。例如:

write_xdc -type waiver -file waivers.xdc
重要: IP 豁免以 create_waiver -internal 选项来识别。用户豁免不得使用 create_waiver -internal 选项。该选项是 AMD IP 豁免专用的保留选项。

write_waivers 命令不同于 write_xdc,因为前者仅导出用户豁免,可提供更高的控制权和颗粒度。AMD IP 豁免无法通过 write_waivers 导出。默认情况下,将导出所有用户 CDC、DRC 和 Methodology 豁免。-type 选项仅用于导出 CDC、DRC 和 Methodology 豁免。

例如,以下命令将把所有 CDC 豁免导出至 waivers_cdc.xdc 文件:

write_waivers -type CDC -file waivers_cdc.xdc

可通过 -id 选项导出特定检查 ID 的所有豁免。以下示例将导出方法检查 TIMING-15 的所有豁免:

write_waivers -id TIMING-15 -file waivers_timing_15.xdc

下表汇总了 write_xdc 命令与 write_waivers 命令之间有关用户豁免和 AMD IP 豁免的差异。

表 1. 导出豁免
Vivado 命令 导出用户豁免 导出 AMD IP 豁免
write_xdc 支持 支持
write_waivers 支持 不支持