工程模式 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

在工程模式下,建议写入 RQS 文件后,会将此文件自动添加到工程 (utils_1) 中的实用工具源文件集内。请将此文件存储于除运行目录外的其他目录中,因为当运行复位后,将删除此文件。每轮运行都应采用不同的独立目录来存储各轮运行的专用建议文件。推荐采用如下位置:<project_dir>/<project>.srcs/utils_1/<run_name>

在工程内的Design Runs(设计运行)窗口中右键单击运行,然后选择Set QoR Suggestions(设置 QoR 建议)。在综合运行和实现运行中可能都需要添加此操作。

图 1. Set QoR Suggestions窗口

选择Enable Suggestions(启用建议)。这样您即可选择建议文件和/或执行自动运行。所选建议文件如果尚未添加到 utils_1 文件集中,则会执行添加。

以下代码片段中显示了此流程的等效 Tcl 命令:

write_qor_suggestions -of_objects [get_qor_suggestions \
{<NAME_1> <NAME_2>}] -file <fn.rqs>
add_files -fileset utils_1 <fn>.rqs
set_property RQS_FILES <fn>.rqs [get_runs <run name>]