总体评估汇总 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

此汇总包含 QoR 评估得分和流程指南信息。

评估得分可以预测设计在实现流程中给定时间点达成时序目标的可能性。在流程中越早运行该命令,可节省的编译时间更多,因此收益就越大。虽然准确性略有牺牲,得分比最终布线后得分高,但差值应不超过 1。此得分是通过分析一组复杂的设计指标来生成的,这些指标包括 UltraFast 方法论、器件使用率、控制集、时钟设置、建立裕量和保持裕量等。此外,其中还考量了器件特有的特性和设计阶段。例如,执行 synth_design 后,将对时钟设置网表结构进行仔细检查;在 place_design 时钟偏差随着准确性增加而具有更大的权重之后以及在 route_design 之后,将考虑其他新因素,例如设计能否完全布线。评分范围为从 1 至 5。如果得分低于 5,请使用 report_qor_suggestions 来改善得分。

在下表中提供了评分详细信息:

表 1. QoR 评估报告评分
得分 含义
1 设计将可能无法完成实现。
2 设计将能够完成实现,但无法满足时序。
3 设计将可能无法满足时序。
4 设计将可能满足时序。
5 设计将能够满足时序。

流程指南属于总体评估汇总的一部分。它会根据设计的当前状态进行动态更新。它可提供以下相关信息:

  • 您是否需要解决方法论问题
  • 使用 QoR 建议是否改善设计
  • 使用 ML 策略还是增量编译

下图显示了QoR Assessment Score(QoR 评估得分)为 2 的设计。

图 1. 总体评估汇总