报告已忽略的时序例外 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文
“Report Exception”(例外报告)命令的第二种操作模式如下所示:
report_exceptions -ignored

为便于演示,请在前述时序例外的基础上添加以下时序例外:

set_max_delay 5 -to [get_ports out5]
set_multicycle_path 1 -hold -to [get_cell int21_reg]
set_multicycle_path 2 -setup -to [get_ports out6]
set_false_path -from [get_cell int11_reg] -to [get_cell int20_reg]

所有这些例外均已被先前章节中的时序例外(报告影响时序分析的时序例外)所覆盖,或者这些例外均以不存在的路径为目标(在寄存器 int11_regint20_reg 之间不存在物理连接)。

添加上述 4 项约束后,Timing Constraints(时序约束)窗口如下图所示。

图 1. “Timing Constraints”窗口

Exceptions Report(例外报告)(report_exceptions -ignored) 如下图所示:

图 2. Exceptions Report

注释: Status(状态)列提供了有关忽略时序例外的原因的一些解释。