支持的建议 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

Vivado 可生成 100 余项 QoR 建议,其中 80 余项建议能自动执行,无需用户对约束或 RTL 进行任何编辑。要查看可生成的所有建议的列表,请运行 get_qor_checks 命令。您可检查随附于返回的对象的属性。此命令返回的对象并非生成的建议,无法写入这些对象本身。

-family 开关可用于仅显示适用于某一系列的建议。以下示例演示了如何查看当前打开的设计系列可用的建议:
get_qor_checks -family [get_property FAMILY [get_parts [get_property PART [current_design]]]]
-filter 开关允许用于基于对象属性进行筛选。可供筛选的实用属性有:
  • CATEGORY
  • AUTO
  • INCR_FRIENDLY
此命令的输出应使用 Tcl 来处理。以下示例演示例如如何查看所有 AUTO 检查的 ID 和描述:
foreach sugg [lsort -dict [get_qor_checks -filter {AUTO==1}]] {
  set ID [get_property ID $sugg]
  set DESCRIPTION [get_property DESCRIPTION $sugg]
  puts "[format %-16s $ID]: $DESCRIPTION "
}