方法 2:在失败的最差建立时间路径上运行 report_timing -min - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

请复查该路径的保持时间以判定失败的最差建立时间路径是否是由于保持时间修复所导致的。在 Vivado IDE 中,右键单击并单击“Report Timing on Source to Destination”(报告从源到目标的时序)。与执行建立时序分析相反,查看保持时序至关重要。获得保持时间报告后,请验证要求,确保在路径上未添加额外延迟以满足保持时间要求。

图 1. 在特定路径上运行时序报告