智能设计运行 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

智能设计运行 (IDR) 是一种特殊类型的实现运行,它使用复杂流程来尝试达成时序收敛。由于 IDR 可能较为激进,因此预计编译时间可达标准运行的约 3.5 倍。

IDR 围绕复杂的时序收敛功能特性展示了一个简单的用户界面,对于大部分设计,它所达成的结果与 FPGA 专家不相上下。