生成 QoR 建议报告 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

AMD Vivado™ IDE 中使用Reports(报告)下拉菜单中的Report QoR Suggestions(QoR 建议报告)即可访问 report_qor_suggestions 命令。

图 1. Report QoR Suggestions对话框

Tcl 控制台中的等效命令如下:

report_qor_suggestions -name qor_suggestions_1
要更改时序路径限制的默认值 100,请更改Number of paths for suggestion analysis(建议分析的路径数),如下图所示。这样即可增加建议数量,但这些建议仍将应用于尚未最优化的时序路径。等效的 Tcl 命令行选项如下:
-max_paths <N>
要更改生成的 ML 策略数量,请更改Maximum Number of Strategies to suggest(最大策略建议数),如以下对话框所示。等效的 Tcl 命令行选项如下:
-max_strategies <N>
要扩展分析以报告不违反阈值条件的建议,请选中Report all suggestions(报告所有建议)。行为如下:
时序建议
无论是否满足时序,都会提供有关时序路径的建议。
使用率建议
提供有关非关键资源的建议。
拥塞建议
提供有关在布线后阶段已满足时序的设计的建议。
等效的 Tcl 命令行选项如下:
-report_all_suggestions
要生成支持性 CSV 文件以显示失败的时序路径及其关联的建议,请选中此复选框并指定目录。CSV 文件能够大幅简化时序路径的浏览,较文本报告中的表格更便于管理。此外还会生成第二个文件,其中包含 DONT_TOUCH 报告。等效的 Tcl 命令行选项如下:
-csv_output_dir <directory>
注释: DONT_TOUCH 属性可防止工具对路径进行最优化,利用 Vivado 自动使用其他属性的特性也可以添加该属性。如需移除 DONT_TOUCH 属性,请谨慎操作。例如,DFX 流程使用 DONT_TOUCH 来防止静态模块与可配置模块之间发生跨边界最优化,因此不应移除。相比之下,因 MARK_DEBUG 而添加的 DONT_TOUCH 属性对于流程并不重要,但它表示如果进行最优化,那么此信号不可用于硬件探测。