策略建议的工程应用 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

在工程模式下,在下列步骤中会捕获生成和应用建议的进程:

  1. 生成包含策略的 QoR 建议文件(以及其他可选 QoR 建议)。
  2. 创建新的实现运行、读取 QoR 建议文件并将指令设置为 RQS。

在 IDE 中集成了多种方式用于完成步骤 1。这些方式因使用的流程以及添加到 RQS 文件中的其他 QoR 建议而异。下表描述了每一项功能特性的工作方式及其对于非策略 QoR 建议的影响。

表 1. 生成 ML 策略的过程
流程 RQS 文件创建 其他 QoR 建议
标准实现运行 右键单击Design Runs(设计运行)窗口。

默认会添加 APPLIED 建议。

可选添加新生成的 AUTO 建议。

启用自动 RQS 自动。

默认会添加 APPLIED 建议。

默认会添加新的 AUTO 建议。

来自未完成的设计的 QoR 建议报告 编写建议期间,会自动编写包含选定建议的策略。 由用户逐一选中。
智能设计运行 自动

包含来自阶段 1 IDR 的 APPLIED 建议。

等同于阶段 2 运行。

布线后 Tcl 挂钩中的 QoR 建议报告 手动

可由用户逐一选中。使用 -strategy_dir ./MLStrategy

以上每个选项都会在步骤 2 中生成一种方式来创建和设置运行。在每个项中,都会在运行目录中创建 MLStrategy 目录。其中包含 3 个 RQS 文件。看到这些文件时,会在Design Runs右键单击菜单中启用 create_rqs_runs 选项。

运行该选项时,它会自动创建 3 个新的实现运行,并将其与参考运行相连。每次参考运行仅允许 3 项 ML 策略。如果要重新创建这些策略,请首先将其删除,然后重新运行。次要设计更改应该无需重新生成这些策略。

以下显示了从实现运行 impl_1 创建新 ML 策略的等效 Tcl 命令:

create_rqs_runs -reference_run [get_runs impl_1]