自动 QoR 建议和 ML 策略 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

如果在开始运行前存在 ML 策略,那么可使用 RQS_FILES 属性来设置 RQS 文件。在流程结束时,会将这些策略建议添加到新的 RQS 文件中。

如果不存在 ML 策略,则会在建议生成进程中,在 <run_name>/MLStrategy 目录中为用户自动生成这些策略。