阶段 1:设计最优化 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

“Design Optimization”(设计最优化)阶段拆分为多个按顺序执行的步骤。下图显示了这些步骤。

图 1. 设计最优化步骤

在任意给定步骤中,可以运行多条实现命令(例如,opt_designplace_designroute_design),并且可生成 QoR 建议。对于每个步骤,都有一个目标建议列表;如果在目标建议列表上出现任何生成的建议,设计就会复位到所需的设计阶段,以便成功应用该建议。如果目标建议列表上针对给定步骤没有任何建议,则会跳过此步骤。

设计最优化步骤的详细信息如下所述。

清理 XDC
检查设计中是否有任何原因会导致生成实现错误和无法修复的时序问题。如果发现错误,流程会退出。在此阶段不生成也不应用任何建议。
清理使用率
寻找可以降低使用率而不产生时序惩罚的建议。如果在流程早期可以检测到并修复任何其他与使用率无关的建议,则可应用这些建议。
清理时钟设置
运行设计直至 place_design 以生成准确的时钟偏差时序数值。如果存在建议,那么该流程会复位。
注释: 如果在“清理使用率”和“清理时钟设置”阶段没有任何建议,则会报告一个名为“First Pass”(首次直通或首通)的特殊阶段。此阶段会用作为基线参考,以便与后续阶段进行对比。为缩短编译时间,如果有建议,则不会生成此特殊阶段。
清理拥塞
在此步骤中,运行有限的一部分布线器之后,会生成拥塞以便更准确地查看设计中的拥塞。如果存在建议,则会加以应用。
注释: 生成此拥塞信息时,log 日志文件显示 route_design 失败,但由于此时并非旨在进行完整布线,因此可忽略此失败。
清理时序
此阶段会基于源自先前阶段的检查点的时序失败的时序路径生成 QoR 建议,并重新运行布局器。

在第 1 阶段设计最优化末,会判定是退出还是利用最佳运行继续阶段 2 工具选项探索。

为检验 IDR 对设计所做的修改,QoR 建议报告会显示每个步骤包含的各项 GENERATED 建议和 APPLIED 建议。此外还会生成多个检查点,这些检查点可从设计运行目录轻松访问。