高扇出信号线报告选项 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

名称

在位于Report High Fanout Nets(高扇出信号线报告)对话框顶部的Results Name(结果名称)字段中,指定报告的图形窗口。

等效的 Tcl 选项:

 -name <windowName>

扇出

最大和最小限制可设为仅报告含指定扇出的信号线。

等效的 Tcl 命令:

-fanout_greater_than 1 
-fanout_lesser_than 100000 

“Objects”(对象)

可基于对象来限制报告。您可将报告作用域限定于特定层级单元。选中此项时,所有源文件均位于限定作用域的单元中。您也可以报告时钟。默认不报告时钟,但可在报告中使用开关来指定。

等效的 Tcl 命令:

-cells [get_cells <hierarchical cell>] 
-clocks [get_clocks <clock object>] 

“Max Nets”(最大信号线数量)

默认报告 10 条信号线。指定 -max_nets 开关即可更改该值。在报告中也可添加信号线上的最差情况裕量。

等效的 Tcl 命令:

-max_nets <n> 
-timing 

“Load Distribution”(负载分布)

在报告中也可添加负载类型、时钟区域和 SLR。如需访问全部项,则必须多次运行此命令。

等效的 Tcl 命令:

-load_types 
-clock_regions 
-slr 

“Histogram”(直方图)

可显示直方图,其中包含给定存储器中的风扇计数和信号线数量。如果存在大量扇出较低的信号线,该直方图可用于判定这些信号线是否会造成困难。该表只能以文本模式查看。该表示例如下图所示。

图 1. 直方图表格

等效的 Tcl 命令:

-histogram 
注释: 在每条信号线的时序报告中均可显示扇出,并且可在信号线上使用 FLAT_PIN_COUNT 属性来判定扇出。

要解决高扇出问题,请访问此链接此链接,以参阅 适用于 FPGA 和 SoC 的 UltraFast 设计方法指南(UG949) 中的相应内容。