在“Waveform”窗口中使用枚举 - 2023.2 简体中文

Vivado Design Suite 用户指南: 编程和调试 (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 简体中文

您可在“Waveform”(波形)窗口中针对每个信号选中“Show as Enumeration”(以枚举方式显示)选项来显示枚举。在“Waveform”窗口中右键单击信号,并从显示的菜单中选择Show as Enumeration。不以枚举方式显示时,总线值根据常规基数选择来显示。

图 1. “Waveform”窗口中的“Show as Enumeration”选项

枚举信息将保存到波形数据文件中,并在后续显示波形数据时使用。已定义“Enumerations”的波形探针的默认设置是显示“Enumerations”。

图 2. 含枚举的波形

当波形对象选中“Show as Enumeration”时,将显示枚举名称。如果波形值没有匹配的枚举,则会根据所选基数来显示。

重要: 如果在创建枚举前已创建波形,则可通过使用 Tcl 命令来保存波形 ILA 数据的方式将新枚举应用于波形,如下所示:
 write_hw_ila_data -force data_ila_3.ila [upload_hw_ila_data hw_ila_3]
display_hw_ila_data [read_hw_ila_data ./data_ila_3.ila]