在工程模式下使用增量编译 - 2023.2 简体中文

Vivado Design Suite 用户指南: 编程和调试 (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 简体中文

在工程模式下,您可在Design Runs(设计运行)窗口中设置增量编译选项。

要设置增量编译选项,请执行以下操作:

  1. 在“Design Runs”窗口中,选择运行。
  2. 单击上下文菜单中的Set Incremental Compile(设置增量编译)。
  3. 在“Set Incremental Compile”窗口中,选择参考设计检查点。这样即可启用并运行增量编译模式。
  4. 打开综合后网表,在其中可选择修改或添加 RTL 中已例化的调试核。
  5. 使用“Set Up Debug”Wizard(设置调试向导)来对已插入设计的调试核执行插入、删除或修改操作。
  6. 实现设计。
    重要: 您必须打开已综合的设计才能修改设计中的调试核。不支持通过打开布线后设计来插入调试核。

如需了解更多信息,请参阅 Vivado Design Suite 用户指南:实现(UG904) 中的增量编译功能特性。