在已综合的设计中标记要调试的信号线 - 2023.2 简体中文

Vivado Design Suite 用户指南: 编程和调试 (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 简体中文

在 Flow Navigator 中单击Open Synthesized Design(打开已综合的设计),然后选择Debug(调试)窗口布局以查看“Debug”窗口。这样会在“Debug”窗口中的“Unassigned Debug Nets”(未分配的调试信号线)文件夹下显示对应于带调试标记的 HDL 信号的所有信号线。

图 1. Unassigned Debug Nets

  • 选中“Netlist”(网表)或“Schematic”(板级原理图)窗口等任一设计视图中的信号线,然后右键单击并选择Mark Debug(标记调试)选项。
  • 选中任一设计视图中的信号线,将其拖放到“Unassigned Debug Nets”文件夹中。
  • Set up DebugWizard(设置调试向导)中使用信号线选择器,欲知详情,请参阅:使用“Set Up Debug”Wizard 来插入调试核。