在已综合的设计内查看 System ILA 调试核 - 2023.2 简体中文

Vivado Design Suite 用户指南: 编程和调试 (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 简体中文

IP integrator 块设计中的 System ILA IP 必须例化。下图所示快照中的块设计包含 2 个已例化的调试核:System ILA 和 JTAG to AXI Master IP 核。

图 1. 块设计

在此块设计完成确认和综合后,您可在已综合的设计中打开“Debug”(调试)窗口以查看已例化并插入设计的调试核。System ILA 和 JTAG to AXI Master 调试核如下所示:

图 2. System ILA 和 JTAG to AXI Master 调试核

如需了解有关如何使用这些接口在硬件管理器中进行调试以及如何利用 AXI 事件级调试的详细信息,请参阅“在硬件管理器中调试 AXI 接口”。