在非工程模式下使用增量编译 - 2023.2 简体中文

Vivado Design Suite 用户指南: 编程和调试 (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 简体中文

要在非工程模式下指定使用某个设计检查点文件 (DCP) 作为参考设计并运行增量布局,请执行以下操作:

  1. 加载当前设计。
  2. 运行调试核命令。
  3. 运行 opt_design
    重要: 确保 opt_design 选项和指令与原始参考运行中所使用的选项和指令尽可能匹配。
  4. 运行 read_checkpoint -incremental <reference_dcp_file>
  5. 运行 place_design
  6. 运行 route_design
    # to load the current design
    link_design;
    #Create the debug core
    create_debug_core u_ila_0 ila
    #set debug core properties
    set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
    set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
    set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
    set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0]
    set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
    set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0]
    set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
    set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0]
    #connect the probe ports in the debug core to the signals being probed in the design
    set_property port_width 1 [get_debug_ports u_ila_0/clk]
    connect_debug_port u_ila_0/clk [get_nets [list clk ]]
    set_property port_width 1 [get_debug_ports u_ila_0/probe0]
    connect_debug_port u_ila_0/probe0 [get_nets [list A_or_B]]
    create_debug_port u_ila_0 probe 
    opt_design
    read_checkpoint -incremental <reference_dcp_file>
    place_design
    route_design
    重要: 您必须打开已综合的检查点才能修改设计中的调试核。不支持通过打开布线后检查点来插入调试核。