在 Vivado Design Suite 中单击Run Implementation或者运行以下 Tcl 命令来实现包含调试核的设计:
launch_runs impl_1
wait_on_run impl_1
您也可以使用实现命令 opt_design,
place_design
和 route_design
来实现设计。如需了解有关各种设计实现方法的更多详细信息,请参阅
Vivado Design Suite 用户指南:实现(UG904)。