对包含调试核的设计执行综合 - 2023.2 简体中文

Vivado Design Suite 用户指南: 编程和调试 (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 简体中文

下一步是在 Vivado Design Suite 中单击Run Synthesis(运行综合)或者运行以下 Tcl 命令来对包含调试核的设计执行综合操作:

launch_runs synth_1
wait_on_run synth_1

您也可以使用 synth_design Tcl 命令来对设计执行综合。如需了解有关各种设计综合方法的更多详细信息,请参阅 Vivado Design Suite 用户指南:综合(UG901)