执行 SVF 文件 - 2023.2 简体中文

Vivado Design Suite 用户指南: 编程和调试 (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 简体中文

创建 SVF 文件后,您可选择通过 Vivado IDE 来执行 SVF 文件。Vivado IDE 可以执行通过 SVF 生成功能所生成的 SVF 文件,主要用作为确认测试工具。execute_hw_svf 命令并非常用的 SVF 执行命令,请注意,只能使用通过 Vivado IDE 创建的 SVF 文件。

要运行 svf 命令,请在已打开并处于活动状态的目标上运行如下命令:

execute_hw_svf my_file.svf
INFO: [Labtoolstcl 44-548] Creating JTAG TCL script from SVF file
INFO: [Labtoolstcl 44-549] Re-opening target in JTAG mode
INFO: [Labtoolstcl 44-551] Sourcing JTAG TCL script: my_file.tcl
Pass: SVF Execution completed with no errors
INFO: [Labtoolstcl 44-550] Restoring target to original mode
INFO: [Labtoolstcl 44-570] Execute SVF completed successfully

在本例中,执行的文件是 my_file.svf。在执行流程中,输入 SVF 文件可通过 HW_JTAG Tcl 操作转换为临时文件。创建此 Tcl 代码后,将使用此文件来执行转换后的 SVF 指令。要查看 JTAG_TCL 操作,可使用 -verbose 选项运行 execute_hw_svf 命令。该命令完成后,消息日志末尾会显示标明指令执行失败位置的出错消息或表示执行成功的“Pass”消息。

提示: Vivado 支持对小于 500 MB 的 SVF 文件执行 SVF。要对大小超过 500 MB 的 SVF 文件执行操作,请使用第三方 SVF 播放器。