使用 DIRECT_ENABLE 和 DIRECT_RESET - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

要使用控制集映射,可向已连接到使能信号/复位信号的信号线应用属性,这将强制综合使用 CE/R 管脚。

在下图中,使能信号 (en) 只能连接到 1 个触发器。因此,综合引擎已将 en 信号连接到逻辑的 FDRE/D 管脚椎。请注意,CE 管脚已绑定到逻辑 1。

图 1. 使用数据路径逻辑完成时钟使能实现

要覆盖此默认行为,可使用 DIRECT_ENABLE 属性。例如,下图显示了如何通过将 DIRECT_ENABLE 属性添加到端口/信号来将使能信号 (en) 连接到寄存器的 CE 管脚。

图 2. 使用 direct_enable 完成专用时钟使能实现

下图显示了 RTL 代码,其中 global_rstint_rst 可将寄存器复位。默认情况下,两者都映射到逻辑的复位管脚椎。

图 3. 通过数据路径逻辑映射的多个复位条件

可使用 DIRECT_RESET 属性来指定要连接到寄存器复位管脚的复位信号。例如,下图显示了如何使用 DIRECT_RESET 属性来仅将 global_rst 信号连接到寄存器 FDRE/R 管脚,并将 int_rst 信号连接到逻辑的 FDRE/D 椎。

图 4. 使用 DIRECT_RESET 属性的专用复位管脚的用法