使用硬核 SLR 布局规划约束 - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

对于高性能设计,需在主层级之间建立足够的流水打拍以使全局布局和 SLR 分区轻松易行。对于极富挑战的设计,SLR 交汇点可能随运行而发生变化。除了定义 SLR Pblock 外,您还可在 SLR 边界处创建其他与时钟区域对齐的 Pblock 来约束交汇触发器。以下示例显示了含下列 Pblock 的 UltraScale ku115 SSI 器件:

  • 2 个 SLR Pblock:SLR0 和 SLR1
  • 2 个 SLR 交汇 Pblock:SLR0_top_row 和 SLR1_bottom_row
    图 1. SLR 交汇 Pblock 示例

    重要: AMD 建议针对 SLR 交汇 Pblock 使用 CLOCKREGION 范围代替 LAGUNA 范围。
    提示: 您可以通过指定完整的 SLR 来定义 SLR Pblock。例如,resize_pblock pblock_SLR0 -add SLR0

欲知详情,请访问此链接以参阅 Vivado Design Suite 用户指南:设计分析与收敛技巧(UG906) 中的相应内容。

视频: 如需了解有关使用布局规划技巧来解决时序收敛问题的信息,请观看《Vivado Design Suite QuickTake 视频:设计分析与布局规划》