减少逻辑延迟 - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

Vivado 实现首先集中处理最关键的路径,这通常导致布局或布线后,困难程度较低的路径变为关键路径。AMD 建议在综合后或者执行 opt_design 后识别并改进最长的路径,因为此类路径对时序和功耗 QoR 的影响最大并且通常可显著减少达成时序收敛所需的布局和布线迭代数量。

在布局之前,时序分析所使用的估算延迟对应于理想布局和典型时钟偏差。通过使用 report_timingreport_timing_summaryreport_design_analysis,您可快速识别含过多逻辑层级的路径或含高单元延迟的路径,因为这些路径布局前通常无法满足时序要求或者勉强满足时序要求。使用 识别时序违例的根源 中提出的方法论来查找实现设计前需要改进的长路径。