定义时钟约束 - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

时钟必须首先完成定义,方可供其他约束使用。时序约束创建流程的第一步是明确必须定义哪些时钟,以及这些时钟必须定义为“primary clock”(基准时钟)还是“generated clock”(生成时钟)。

重要: 使用特定名称定义时钟(-name 选项)时,必须验证该时钟名称未被任何其他时钟约束或现有自动生成时钟占用。如果已在多个时钟约束中使用某个时钟名称,Vivado Design Suite 时序引擎会发出消息,以提醒您第 1 个时钟定义被覆盖。如果同一时钟名称使用了两次,那么第 1 个时钟定义将会丢失,并且 2 个时钟定义之间输入的引用此名称的所有约束也都将丢失。AMD 建议您避免覆盖时钟定义,除非不影响任何其他约束,并且所有时序路径都保持受约束。