对时钟缓冲器进行门控 - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

当大部分时钟网络都可分时间段关闭时,您可以使用 BUFGCE 或 BUFGCTRL 启用或禁用时钟网络。此外,在针对性处理 UltraScale 器件时,可以对 BUFGCE_DIV 和 BUFG_GT 进行门控。对于 7 系列器件,还可以使用 BUFHCE、BUFR 和 BUFMRCE 来对时钟进行门控。

当不同时间段内时钟可减慢时,您也可以使用这些缓冲器和附加逻辑来周期性启用时钟信号线。或者,您也可以使用 BUFGMUX 或 BUFGCTRL 将时钟源从速度较快的时钟信号切换为速度较慢的时钟信号。

这些技巧都可以有效降低动态功耗。但是根据要求和时钟拓扑,某一种技巧可能比其他技巧更为行之有效。例如,在 7 系列器件中:

  • 如果 BUFR 是外部生成的时钟(低于 450 MHz),并且只需为 3 个时钟区域供电,那么 BUFR 可能最有效。
  • 对于 Virtex 7 器件,要将此方法用于多个时钟区域(但仅限最多 3 个垂直相邻区域),可能还需要 BUFMRCE。
  • BUFHCE 更适合能够包含在单个时钟区域中的高速时钟。虽然 BUFGCE 可跨器件使用并且是最灵活的方法,但是它或许并不是最节能的选择。