布局分析 - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

布局后使用“Timing Summary”(时序汇总)报告检查关键路径。

  • 含超大建立时间时序负裕量的路径可能需要检查约束以确保完整性和正确性,或者逻辑重组以实现时序收敛。
  • 对于含超大保持时间时序负裕量的路径,其主要成因是约束错误或时钟拓扑错误,因此需在进入布线设计之前将其进行修复。
  • 具有较小的保持时间时序负裕量的路径有可能通过布线器修复。您还可先运行place_design,然后再运行 report_clock_utilization 来查看按时钟区域划分时钟资源和负载计数的报告。

请访问此链接以参阅 Vivado Design Suite 用户指南:实现(UG904) 中的相应内容,并获取有关布局的更多信息。