时钟多路复用 - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

您可以使用并行和级联 BUFGCTRL 的组合构建时钟多路复用器。布局器基于时钟缓冲器站点 (site) 可用性查找最佳布局。如果可能,布局器将 BUFGCTRL 布局在相邻站点 (site) 中以便充分利用专用级联路径。如无法实现,则布局器将尝试在相邻时钟区域的相同层级中布局 BUFGCTRL。

下图显示了具有平衡级联的 4:1 MUX。第 1 级 BUFGCTRL 缓冲器都布局在最后一个 BUFGCTRL (X0Y1) 的直接相邻站点 (site) (X0Y2,X0Y0)。此配置确保到达最后一个 BUFGCTRL 的所有时钟的插入延迟相近。对于 3:1 MUX,可以使用类似结构。

图 1. 使用并行 BUFGCTRL 的 4:1 MUX

如下图所示,当创建 5:1 或更大的时钟 MUX 结构时,通常会创建 1 个对称的时钟结构。然而这只是次优解决方案,因为每个 BUFGCTRL 只有 1 条到 2 个相邻 BUFGCTRL 的级联路径,这无法为 BUFGCTRL 之间的所有连接提供最小延迟。

图 2. 非推荐的 8:1 平衡时钟 MUX 结构

为支持更大的时钟多路复用器(从 5:1 到 8:1 MUX),AMD 建议使用级联 BUFGCTRL 缓冲器,如下图所示。此图显示了使用 7 个 BUFGCTRL 缓冲器的最优化 8:1 MUX。

图 3. 使用级联 BUFGCTRL 的 8:1 MUX

注释: 使用基于较宽的 BUFGCTRL 的时钟多路复用器时,无法平衡时钟插入延迟,因为硬件中部分路径比其他路径更长。因此,建议仅对异步时钟多路复用采用此方法。