最大时间借用量 - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

set_max_time_borrow 命令用于设置锁存器可从下一阶段(锁存后逻辑)借用的最大时间量,以及提供给其上一阶段(锁存前逻辑)的最大时间量。通常不建议使用锁存器,因为在硬件中难以对其进行测试和确认。该命令应由专家级用户使用。