自动增量实现模式 - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

您可使用自动增量实现模式来激活增量实现流程,同时允许 Vivado 工具延迟运行增量实现直至获取有关参考检查点和当前设计的更多信息为止。当发出 read_checkpoint 命令时,Vivado 工具会判定使用默认流程算法还是使用增量流程算法来运行实现流程。自动模式提供了易于使用的按钮,因为工具负责管理参考设计数据以供用于增量实现。

注释: 自动增量实现模式比运行默认增量实现流程更保守,支持在运行增量实现流程时为 QoR 提供更好的维护。

工程模式

在工程模式下,Vivado 工具可管理检查点的更新以及所使用的算法。要在工程模式下启用自动增量实现模式,请右键单击Design Runs(设计运行)窗口,然后选择Set incremental Compile > Automatically use the checkpoint from the previous run(设置增量编译 > 自动使用上一轮运行的检查点)。

等效的 Tcl 命令为:
set_property AUTO_INCREMENTAL_CHECKPOINT 1 [get_runs <runName>]

非工程模式

在非工程模式下,Vivado 工具可管理要使用的算法,但您必须判定是否要更新检查点。要在“非工程模式”下启用自动增量实现模式,请使用 -auto_incremental 选项。以下是一条示例命令:
read_checkpoint -incremental -auto_incremental <reference>.dcp
更新检查点时,请在实现流程末尾使用以下命令来避免 WNS 劣化至可接受的下限以下:
if {[get_property SLACK [get_timing_path -setup]] > -0.250} {
	file copy -force <postroute>.dcp <reference>.dcp
}