选择高质量的参考检查点 - 2023.2 简体中文

适用于 FPGA 和 SoC 的 UltraFast 设计方法指南 (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 简体中文

由于增量实现流程取决于复用率,因此流程最重要的输入即参考检查点。在“工程模式”下使用自动增量实现时,Vivado 工具会管理参考检查点的更新。这样即可确保高复用率,也可确保接近时序收敛。

在增量实现流程的所有其他用例中,您可控制参考检查点的选择。以下准则有助于改进您选择参考检查点的方式:

  • 使用满足时序或接近满足时序的参考检查点。如果参考检查点接近满足时序,那么运行增量实现流程前,它可能有助于改进时序,如下所示。
    注释: 对于自动增量实现,除非 WNS 小于 -0.250 ns,否则检查点将被拒绝。
    • 运行 route_design -tns_cleanup 以对不属于最差情况路径的路径进行最优化。
    • 布线后运行 phys_opt_design 命令以改进不满足时序要求的情况。虽然此命令可能导致运行时间增加,但在增量实现运行中可快速重现这些最优化。
    • 使用 report_qor_suggestions 命令可生成设计改进建议。增量实现流程中应用的新建议必须适用增量实现。参考检查点中已应用的建议无需适用增量实现。对于不适用增量实现的建议,请考虑使用默认流程来应用建议和更新检查点。欲知详情,请访问此链接以参阅 Vivado Design Suite 用户指南:设计分析与收敛技巧(UG906) 中的相应内容。
  • 选择拥塞最低的检查点,此类检查点应用更改的速度比拥塞的检查点更快。
  • 最大程度实现参考检查点与增量检查点之间的匹配。
    注释: 对于自动增量实现,除非单元匹配率达到至少 94% 并且信号线匹配率达到至少 90%,否则检查点将遭拒绝。
    • 使用增量综合来减少因 RTL 更改而对网表进行的更改。在设计收敛周期中应尽早启用增量综合,而不是等到准备使用增量实现时才启用。
    • 请确保 synth_designopt_design 选项与参考检查点和增量实现运行相匹配。
    • 匹配工具版本。虽然并非强制要求,但阈值更改和添加新的最优化可能导致匹配率降低。
    • 请避免使用 opt_design AddRemapExploreWithRemap 指令,除非只能通过这两项指令实现时序收敛。更改代码库时,这些指令导致命名一致性降低。
  • 请使用 report_qor_assessment 来确定设计是否已准备好运行增量实现流程,以及是否适合从默认流程切换为使用增量实现流程。
提示: 要调整增量实现阈值,请运行 config_implementation -help 以获取信息。要识别参考检查点和增量检查点之间的差异,请运行 report_incremental_reuse